CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - FIR verilog

搜索资源列表

  1. fir_hdl.rar

    1下载:
  2. 一个 FIR 滤波器的 verilog 实现, 与 matlab 产生的 reference code 相互验证。,Verilog a FIR filter to achieve, with the reference code generated by matlab mutual authentication.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:96934
    • 提供者:wei
  1. fir.rar

    1下载:
  2. fir滤波器,Verilog语言写的,容易看懂,fir filter, Verilog language written in easy to understand
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-07-08
    • 文件大小:1606
    • 提供者:王刚
  1. Verilog_Hdl48FIR

    0下载:
  2. verilog hdl fir 48阶-verilog hdl fir
  3. 所属分类:Communication

    • 发布日期:2017-04-01
    • 文件大小:89955
    • 提供者:张兵
  1. fir_16

    0下载:
  2. fir滤波器-verilog,基于verilog的fir滤波器源码-fir filter-verilog, the fir filter based on the Verilog source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:742835
    • 提供者:zhc
  1. fir

    0下载:
  2. 比较简单的16位fir滤波器,16阶,Verilog编写-Simple 16-bit fir filter, 16 bands, Verilog prepared
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-07-14
    • 文件大小:2048
    • 提供者:刘安
  1. 16_FIR

    0下载:
  2. 16阶FIR滤波器--本设计用VERILOG HDL语言串行DA算法实现16阶有限频率响应滤波器!-16-order FIR filter- this design language VERILOG HDL serial DA algorithm limited frequency response of 16-order filter!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:799511
    • 提供者:yuming
  1. fir

    0下载:
  2. 本设计用verilog代码实现FIR滤波器!-Verilog code of the design FIR filters to achieve!
  3. 所属分类:DSP program

    • 发布日期:2017-03-28
    • 文件大小:1229
    • 提供者:yuming
  1. fir_Verilog

    1下载:
  2. 用Verilog编写的fir滤波器程序!-Verilog prepared using the procedure fir filter!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:5851
    • 提供者:yuming
  1. VerilogHDL

    0下载:
  2. 本文主要分析了FIR数字滤波器的基本结构和硬件构成特点,简要介绍了FIR滤波器实现的方式优缺点 结合Altera公司的Stratix系列产品的特点,以一个基于MAC的8阶FIR数字滤波器的设计为例,给出了使用Verilog硬件描述语言进行数字逻辑设计的过程和方法,并且在QuartusⅡ的集成开发环境下编写HDL代码,进行综合 利用QuartusⅡ内部的仿真器对设计做脉冲响应仿真和验证。-This paper analyzes the FIR digital filter structure an
  3. 所属分类:Other systems

    • 发布日期:2017-03-24
    • 文件大小:79775
    • 提供者:sundan
  1. halfband

    1下载:
  2. verilog写的39阶通带为20KHz的半带fir滤波器,经测试正确。-verilog halfband FIR
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1371
    • 提供者:lv
  1. coeff_rom_0_7

    0下载:
  2. FIR filter basic verilog code for implementation-FIR filter basic verilog code for implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1585
    • 提供者:surya
  1. FIR

    0下载:
  2. 用verilog设计的FIR滤波器。滤波器需要很快的处理速度,所以采用了wallace树算法,超前进位加法器-The FIR filter is designed with verilog. To improve the process speed, wallace tree and fast-carrylook-aheadarithmetic were used.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:324420
    • 提供者:simeon chan
  1. beta

    0下载:
  2. Fir verilog code implemented to find out the output of fir filter
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1027
    • 提供者:dheeru
  1. FIR

    0下载:
  2. This implementation of Low power Finite Impulse response filter design and implemented in Verilog-This is implementation of Low power Finite Impulse response filter design and implemented in Verilog
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:4920
    • 提供者:Ravindra
  1. fir

    0下载:
  2. 用状态机编写的FIR,verilog代码,已经经过仿真-With the state machine written in FIR, verilog code, and has passed through simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:562
    • 提供者:于水洋
  1. fir

    0下载:
  2. Verilog编的fir滤波器,可以自己输入参数序列,产生滤波波形-Verilog compiled fir filter, input parameters can be their own sequence, resulting in filtered waveforms
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1070
    • 提供者:lifei
  1. fir

    1下载:
  2. 数字电路设计中的,fir滤波器设计,我做的是8位宽的,利用vhdl实现,附带了完整的代码,报告,我没有对我的信息进行删除,是希望大家能够诚实的利用这个代码,提高自身本领。-Digital circuit design, fir filter design, I am doing is 8 bits wide, using vhdl implementation, with a complete code, the report, I did not delete my information i
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3323138
    • 提供者:de de
  1. FIR

    0下载:
  2. FIR filter using verilog code
  3. 所属分类:Project Design

    • 发布日期:2017-05-10
    • 文件大小:2150370
    • 提供者:Karama
  1. FIR

    0下载:
  2. fir滤波器的简单实现,主要用于学习与理解(Simple implementation of the fir filter, mainly for learning and understanding)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-28
    • 文件大小:1024
    • 提供者:未曾走远
  1. FIR设计实现sgh

    1下载:
  2. FIR滤波FPGA实现 ,已在仿真软件上验证实现,不是IP核,不是ip核。(FIR filter FPGA implementation, has been verified in the simulation software, not IP core, not IP core.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:25600
    • 提供者:韩冻少
« 12 3 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com